Print

发布时间: 2016-11-25
摘要点击次数:
全文下载次数:
DOI: 10.11834/jig.20161101
2016 | Volumn 21 | Number 11




    综述    




  <<上一篇 




  下一篇>> 





多媒体技术研究:2015——类脑计算的研究进展与发展趋势
expand article info 黄铁军1, 施路平2, 唐华锦3, 潘纲4, 陈云霁5, 于俊清6
1. 北京大学信息科学技术学院,北京 100871;
2. 清华大学精密仪器系,北京 100084;
3. 四川大学计算机学院,成都 610065;
4. 浙江大学计算机学院,杭州 310058;
5. 中国科学院计算技术研究所,北京 100190;
6. 华中科技大学计算机学院,武汉 430074

摘要

目的 类脑计算,是指仿真、模拟和借鉴大脑神经网络结构和信息处理过程的装置、模型和方法,其目标是制造类脑计算机和类脑智能。 方法 类脑计算相关研究已经有20多年的历史,本文从模拟生物神经元和神经突触的神经形态器件、神经网络芯片、类脑计算模型与应用等方面对国内外研究进展和面临的挑战进行介绍,并对未来的发展趋势进行展望。 结果 与经典人工智能符号主义、连接主义、行为主义以及机器学习的统计主义这些技术路线不同,类脑计算采取仿真主义:结构层次模仿脑(非冯·诺依曼体系结构),器件层次逼近脑(模拟神经元和神经突触的神经形态器件),智能层次超越脑(主要靠自主学习训练而不是人工编程)。 结论 目前类脑计算离工业界实际应用还有较大差距,这也为研究者提供了重要研究方向与机遇。

关键词

类脑计算; 神经形态计算; 类脑智能; 神经形态器件; 神经网络芯片; 脉冲神经网络

Research on multimedia technology 2015-advances and trend of brain-like computing
expand article info Huang Tiejun1, Shi Luping2, Tang Huajin3, Pan Gang4, Chen Yunji5, Yu Junqing6
1. School of Information Science & Technology, Peking University, Beijing 100871, China;
2. Department of Precision Instrument, Tsinghua University, Beijing 100084, China;
3. College of Computer Science, Sichuan University, Chengdu 610065, China;
4. School of Computer Science & Technology, Zhejiang University, Hangzhou 310058, China;
5. Institute of Computing technology, Chinese Academy of Sciences, Beijing 100190, China;
6. School of Computer Science & Technology, Huazhong University of Science & Technology, Wuhan 430074, China
Supported by: National Natural Science Foundation of China(61425025, 61673283)

Abstract

Objective Brain-like computing is the device, model or methodology which emulates, simulates or imitates the structure of the brain's neural system and its information processing mechanism, with the goal to produce the brain-like computer and brain-level intelligence. Method This report reviews the progress and challenges of brain-like computing in the last two decades, in the world and in China, including related research in the brain science, the neuromorphic devices, neural network chips, brain inspired computing models and applications. The future development trend is also prospected. Result Different from the classical artificial intelligence methodologies, including the symbolism, connectionism, behaviourism and statisticsism, brain-like computing follows the imitationism: imitate the brain at structure level (non von Neumann architecture), approximate the brain at device level (neuromorphic devices emulating the biological neurons and synapses), and surpass the brain at intelligent level (mainly by autonomous learning and training rather than manual programming). Conclusion At present, there is still a big gap between the practical application of brain computing and the practical application of the industry, which provides an important research direction and opportunity for the researchers.

Key words

brain-like computing; neuromorphic computing; brain-inspired intelligence; neuromorphic device; neural network chip; spiking neural network

0 引言

长期以来,制造智能机器一直是人类的重大梦想,计算机是承载这一梦想的最新载体。现代计算机刚刚登上历史舞台的1956年,人工智能学科就诞生了[1]。60年来,人工智能经历了3次浪潮,基本思想可大致划分为符号主义、连接主义、行为主义和统计主义。这4个流派从不同侧面抓住了智能的部分特征,在“制造”人工智能方面都取得了里程碑式的成就。近年来,深度学习风起云涌,在图像和语音识别等领域取得突破性进展,人工智能迎来第3次浪潮。特别地,阿尔法围棋(AlphaGo)综合深度学习(连接主义)、特征匹配和线性回归(统计主义)、蒙特卡洛搜索(符号主义)和强化学习(行为主义)的思想,利用高性能计算(CPU+GPU)和大数据(16万局人类对弈),2016年3月一举战胜围棋九段高手李世石,最近已经名列世界职业围棋第一位。

机器智能的快速提升吸引了全球目光,靠4条经典路线甚至“四剑合璧”就能设计出达到人类水平的类人智能或者具有自我意识的强人工智能吗?回答是否定的,其根本原因在于:传统人工智能的思维定式是在“理解智能”机理的基础上设计制造人工智能系统,而人类对自身智能的认识还处在初级阶段,要在“理解智能”的基础上设计类人智能,实际上是把解决“制造智能”问题的前提设定在一个更难问题(“理解智能”)基础上,犯了本末倒置的错误。

然而,如果跳出传统思维的窠臼,回顾一下生物智能和人类智能的产生及其进化发展过程,就会发现通向“类人智能”还有一条“新”路线,这里称为“仿真主义”(Imitationism):不寻求先“理解智能”,而是先设计更先进的大脑探测工具,从结构上解析大脑(即大脑“反向工程”),再利用工程技术手段“照葫芦画瓢”式地构造出仿脑装置,最后通过环境刺激和交互训练“仿真大脑”,实现类人智能,简言之,“先结构后功能”[2-3]。之所以“新”加了引号,是因为“先结构,后功能”是数千年来人类工程技术实践惯用的技术路线。

仿真主义可以说是符号主义、连接主义、行为主义和统计主义之后的第5个流派,但也和前4个流派有个千丝万缕的联系。特别地,仿真主义可以说是走向极致的连接主义:神经元、神经突触和神经环路都尽可能逼近生物对应物,从而从基元到网络都重现生物对应层次的功能。传统人工神经网络尽管也是连接主义,但是思路相反:靠简化的神经元模型和人工设计的网络结构尝试产生复杂功能,尽管也能实现某些功能,但并不知道什么时候才能产生类人智能。而仿真主义先从结构层次逼近生物神经网络,产生类脑功能的机会更大,成功之后再试图理解功能发生的原理。

沿着仿真主义的路线实现类人智能,需要设计开发新的载体平台。经典计算机是数理逻辑的开关电路实现,冯·诺依曼提出这一结构时也没期望用这个结构仿真大脑,相反,冯·诺依曼认真考虑了根据大脑神经网络结构设计新型计算机[4]。在摩尔定律作用下,计算机性能数10年指数增长,给人以无所不能的假象,实际上,即使用现在最强大的超级计算机来仿真人类大脑,也至少还存在两个数量级的差异,在功耗方面更是遥不可及。因此,要按仿真主义的路线“仿脑”,就必须设计全新的软硬件系统,这就是“类脑计算机”,或者更准确地称为“仿脑机”。

类脑计算机采用脉冲神经网络替代经典计算机的冯·诺依曼体系结构,采用微纳光电器件模拟生物神经元和突触的信息处理特性,或者说,类脑计算机是按照生物神经网络采用神经形态器件构造的新型计算机,更准确地应该称为“类脑机”或“仿脑机”(brain-like machinery)[5]

下面简要回顾一下类脑计算和类脑计算机的发展历史[6]

1)1989年,加州理工Carver Mead撰文提出了“类脑工程”的概念,并撰写了一本书, 题目为“模拟VLSI与神经系统”(analog VLSI and neural systems)[7],采用亚阈值模拟电路来仿真SNN,其应用是仿真视网膜。

2)1990年—2003年,摩尔定律持续发展,基于冯诺依曼架构的处理器主频与性能持续增长,而类脑计算则10余年沉寂。

3)2004年左右,单核处理器主频停止增长,设计者开始转向多核,同时学术界开始寻求非冯·诺依曼架构的替代技术。类脑计算经过10多年的小众研究,开始引起广泛关注。

4)2004年,斯坦福大学教授Kwabena Boahen (Carver Mead的学生)研制出基于模拟电路的类脑芯片Neurogrid。

5)2005年,英国Manchester大学基于ARM开始研制支持脉冲神经网络的多核超级计算机SpiNNaker。

6)2005年,欧盟启动FACETS (fast analog computing with emergent transient states)项目,由海德堡大学牵头研制基于模拟混合信号(AMS)的神经形态芯片。

7)2005年,美国国防研究局DARPA启动SyNAPSE(systems of neuromorphic adaptive plastic scalable electronics)项目,支持IBM与多家合作单位联合研发类脑芯片。

8)2005年,瑞士洛桑联邦理工学院(EPFL)研究者Henry Markram与IBM合作启动了“蓝脑计划”(blue brain project),在IBM Blue Gene/L超级计算机上开展尽可能逼近生物真实的大规模仿生神经网络模拟。

9)2008年,惠普公司实现忆阻器(memristor)原型,能够模拟神经突触功能,并展示了首个忆阻器与硅材料的混合电路。全球人造突触热潮兴起。

10)2011年,欧盟启动BrainScaleS (brain-inspired multiscale computation in neuromorphic hybrid systems)项目,作为FACETS延续项目, 研发大规模并行类脑计算机。

11)2012年,蓝脑项目所模拟的最大神经网络包括100万个神经元与10亿个突触,其规模相当于蜜蜂的大脑,仿真速度比实时慢约300倍。

12)2013年,欧盟启动人脑计划(HBP),由EPFL的Henry Markram牵头,包括6个平台:神经信息学平台、医学信息学平台、脑仿真平台、高性能计算平台、类脑计算平台与神经机器人平台。

13)2013年,美国启动BRAIN (brain research through advancing innovative neurotechnologies)计划。BRAIN并不直接涉及类脑计算,但它将推动对于生物大脑的深入理解,这是类脑计算研究的重要基础。

14)2014年,Dharmendra Modha领导的IBM SyNAPSE项目推出了TrueNorth芯片,包含54亿个半导体,功耗只有70 mW,比半导体数量相当的传统CPU功耗低5 000倍左右。实现的一个用于视觉对象检测的应用系统,包含300万个神经元,功耗只有200 mW。

15)2015年3月,德国海德堡大学在一个8英寸硅片上集成了20万神经元和5 000多万突触,采用这种“神经形态处理器”的类脑计算机成功运行。

16)2016年3月,欧盟人类大脑计划宣布把刚刚建成的两套类脑计算机通过互联网对外开放使用,以支持神经微回路模拟以及在机器学习和认知计算中应用类脑原理的相关研究。这两套系统即上面提到的德国海德堡大学的BrainScaleS系统和英国曼彻斯特大学的SpiNNaker系统。

17)2016年8月,IBM苏黎世研究院制造出脉冲神经元。与之前采用电阻、电容等模拟器件构造模拟神经元不同,这种人造神经元使用相变材料,特征尺寸达到纳米级别,未来可以小到14 nm。每个单元能稳定存储3比特数据,还能执行多种计算,如检测数据关联等,并且像生物神经元一样具有随机性。

18)2016年9月,日本东海大学绘制出首个果蝇全脑神经网络3维图谱,共计10万神经元。

近十年来,类脑计算相关研究已经取得不少阶段性成果,近3年来,各国脑计划相继展开,大脑解析的步伐正在加快,未来10年有望实现高等动物全脑解析。类脑计算机实现方面,就像1948年晶体管的发明引发计算机革命一样,纳米级别的人造突触和人造神经元的出现,有望掀起一场计算机的新革命,开启通往强人工智能的大门。

1 国际研究现状

1.1 神经形态器件

开发与神经网络算法相匹配的神经网络硬件系统,是一个全新的领域。现阶段,模拟生物神经元的行为与构造是主要研究方向,实现了仿生的电子器件被称为神经形态器件。人脑中,神经元是构成神经系统结构和功能的基本单位,它具有接受、整合和传递信息的功能,神经元之间由突触进行连接,神经元和连接突触构成了信息传递的基本通道与回路,被认为是神经系统的学习和适应等过程的关键环节。各种神经形态器件,即围绕神经元和突触,进行功能和行为上的模拟。基于人脑中大约有1011个神经元和1014个突触,研制具有高密度和超低功耗的神经网络是该领域的主要目标。

基于传统的成熟半导体工艺的神经元形态器件和突触形态器件首先被提出并应用于对神经网络的模拟。一般来说,硅基神经元形态器件主要由动态电导模块(即信息处理)、脉冲触发器、阈值和修复期模块、触发频率适应模块、以及树突轴突模块组成[8]。用硅基形态器件组成的神经网络系统,可以进行实时模拟,其速度并不依赖于神经元及其连接的数量,比计算机仿真能效高,适合实时及大规模的神经模拟。但是,硅基神经形态器件,特别是基于SRAM和DRAM的存储器,表现出较高的功耗,且在断电后,信息全部丢失。因此,研究人员将目光投向了新型的固态存储器。

惠普于2008年首度实现了由蔡少堂(Leon Chua)提出的忆阻器器件[8]。该忆阻器器件使用二氧化钛(TiO2)作为阻变层[9],并首先提出利用忆阻器去模拟突触[10]。文献[11]使用掺银的非晶硅作为阻变层也展现了忆阻器特性,同时通过改变脉冲的宽度去模拟STDP学习法则。文献[12]使用Ta2O5/TaOx双层阻变层实现忆阻器并展现STDP等学习法则。文献[13]采用TiN/HfOx/AlOx/Pt的结构来实现忆阻器,可实现1000倍电阻变化,快编程速度约10 ns,合理的擦写次数(105),同时展现了较低的功耗(6 pJ),为大规模硬件实现神经网络打下基础。文献[14]通过利用TiO2忆阻器短期记忆功能,对神经元的膜电压进行模拟、调制。

文献[15]展示了基于相变存储器的突触形态器件,用较低的能耗演示了长期增强型和长期减弱型学习法则,并讨论了STDP的两种学习机制。同时,利用相变存储器进行Hopfield循环网络仿真,实现强健的联想学习和序列学习,并分析了器件阻值的变异对神经网络的影响[16]。惠普利用NbO2所具有的从绝缘体变到导体的莫特变换属性[17],开发了类神经元形态器件,用简单的电路即实现了对复杂的Hodgkin-Huxley神经元触发模型的模拟,展示重要的神经元all-or-nothing触发函数。文献[18]利用自旋转移矩磁存储器(STT-MRAM)非传统工作区间去模拟突触。该器件的架构与传统MRAM的略有不同,使耗电量更少,密度更高,具备快速读写和信息长久保存能力。

1.2 神经网络芯片

随着智能计算和类脑计算的发展,神经网络芯片作为核心部件受到了学术界和工业界的广泛关注。神经网络芯片大致可以分为4个大类:人工神经网络芯片、脉冲神经网络芯片、视觉处理芯片和类脑芯片工艺器件基础研究。人工神经网络芯片预期将在近期取得较广泛的实际应用进展;脉冲神经网络芯片尚处于探索性应用阶段;视觉处理芯片则专门用于完成图像和视频处理任务。

脉冲神经网络芯片的代表是IBM 2014年发布的“真北”(TrueNorth)[19],其基本结构由硬件神经元和神经元之间的脉冲连接组成,硬件神经元接收输入脉冲,在累积到一定阈值后被激活产生输出脉冲。“真北”具有4 096个处理核,每个内核包含256个硬件神经元,因此总共可以模拟100万个神经元和2.56亿个突触。其峰值性能达到了266 GB/s, 定点运算速度。“真北”芯片包含有54亿晶体管,是迄今建造的最大的CMOS芯片之一。

表 1列举了国际上具有代表性的类脑计算项目所采用的芯片或硬件平台[6]。基于大规模CPU或GPU集群的仿真系统未列入(例如瑞士基于IBM蓝色基因超级计算机的“蓝脑计划”与日本基于“京”超级计算机的仿真系统)。

表 1 国际上具有代表性的类脑计算项目及其所采用的芯片或硬件平台
Table 1 Representative of the international class of brain computing projects and the use of the chip or hardware platform

下载CSV
项目单位 核心芯片 神经元模型 学习算法 神经元个数 突触个数
HiCANN/BrainScaleS
德国海德堡大学
模拟混合电路, 晶片级集成 AdEx IF STDP 每个芯片上512个神经元;每个晶片上448个芯片 每个芯片上115K个突触
TrueNorth
美国IBM公司
数字电路 LIF 每个神经突触核上256个神经元;每个芯片上4096个核 每个神经突触核上256K个突触
Neurogrid
美国斯坦福大学
亚阈值模拟混合电路 QIF 每个神经核上65K个神经元;每个芯片上16个神经核 每个芯片上375M个突触
SpiNNaker
英国曼彻斯特大学
18核ARM芯片,片上网络互联 LIF, Izhikevich STDP 每个ARM核上1K个神经元;核的个数可达百万级别 每个ARM核上1M个突触
ROLLS处理器
瑞士苏黎世联邦理工学院
亚阈值模拟混合电路 AdEx IF STDP 每个芯片上256个神经元 每个芯片上128K个突触
BlueHive
英国剑桥大学
数字电路,多FPGA集群 Izhikevich 每个FPGA上64K个神经元 每个FPGA上64M个突触
EMBRACE
英国阿尔斯特大学/爱尔兰国立大学
模拟混合电路,分级片上网络互联 LIF 遗传算法 每个处理单元上32个神经元(16个输入+16个输出) 每个输入神经元144个突触;每个输出神经元17个突触
IFAT
美国圣地亚哥加州大学
模拟混合电路 LIF 每个芯片上65K个神经元 每个芯片上65M个突触
SiElegans
英国阿尔斯特大学
数字电路,多FPGA集群(最多330个) 多种类型,包括HH, LIF 每个FPGA上1个神经元 全连接
Zeroth NPU
美国高通公司
模拟混合电路 LIF 未知 未知 未知

表 1中除了曼彻斯特大学的SpiNNaker系统是采用多核ARM平台运行软件,其他芯片均是基于硬件电路设计:数字电路或者模拟混合(AMS)。大部分芯片是实时运行的,可以与外界进行实时交互。海德堡大学的HICANN(high input count analog neural network)系统的仿真速度比实时要快一万倍。

2015年,加州大学和纽约州立大学石溪分校首次用忆阻器创建出了神经网络芯片,该芯片通过无晶体管的金属氧化物忆阻器闩(Crossbars)创建,目前仅能够识别3×3像素黑白图像中的图案,距离真正人类智能还有较大差距[20]。由于忆阻器是前沿技术,如果采用忆阻器来实现真正仿脑要求规模的神经网络,还需很长时间的努力。

1.3 类脑计算模型

类脑计算综合神经科学、认知科学和信息科学来探索生物神经系统是如何实现智能的,进而建造人工智能系统模拟生物神经系统。与传统人工智能、神经网络不同,类脑计算以大量的神经科学理论和实验结果为依据,包括感知信息处理、学习、认知与记忆等功能为一体的智能化计算平台[21]。与传统的人工神经网络(ANN)相比,类脑计算采用生物大脑所采用的脉冲神经网络,以异步的、事件驱动的方式进行工作,更易于在硬件上实现分布式计算与信息存储,能实时处理多感官跨模态等非精确、非结构化数据[22]

经过近30年发展,虽然在神经电路的硬件模拟和简单类脑计算算法上,如视觉和听觉的信息处理和识别,取得了很多成就,但是在实现高层信息的表达和组织以及认知上,依然面临很多挑战。本部分将着重阐述研究现状、面临的问题、解决该问题的框架和算法原理。

1.3.1 神经系统信息编码

利用脉冲神经网络进行输入信息的分类、识别和学习,需要解决神经信息编码和学习算法这两个主要问题。不同的神经信息编码结果对学习性能将产生重要影响。神经信息编码包含两个过程:一个是特征提取;另一个是脉冲序列生成。感知神经系统首先对接收的感知信息进行关键信息表达或采样,对应于机器学习的特征提取过程,这方面神经计算的机理目前还没有成熟的理论和算法。在脉冲序列生成方面,研究人员常用的有两种方式:脉冲平均率编码(rate coding)和时间编码(temporal coding)。脉冲平均率编码以脉冲的频率来表达所有脉冲序列的信息,不能有效描述快速时变的感知信息。不同于平均率编码,时间编码考虑了精确定时的脉冲承载了有效的信息,因而可以更加准确地描述神经元的活动。神经科学实验都表明精确脉冲定时在视觉、听觉等感知信息处理中的重要作用[22]

与采用平均率编码的传统ANN相比,SNN可以对快速时变信息进行特征提取和分析。Hopfield[23]首先提出了一种时间编码算法“时滞编码(latency coding)”,即神经脉冲的产生时间与刺激的强度呈负相关。由于其编码的简单和直观的特点,在SNN的研究中,时滞编码成为对视觉和听觉输入信息编码的主要方法。神经科学研究还发现了神经信息的群体编码(population coding),即通过神经元群而不是单个神经元的状态表达信息的方案[24-25]。由于神经系统的复杂性,单个神经元的活动容易受到影响,所以群体编码有利于提高信息编码的可靠性。

由神经科学实验提出的神经编码方法对信息的提取包含了大量冗余信息,因此,直接应用神经编码方法(如时滞编码)往往不能为SNN学习提供有效的信息表达。为了提高信息编码的有效性,研究人员尝试在编码过程中引入了不同的机制:文献[26]用Gabor滤波器对输入图像预处理,提高了SNN的识别精度;文献[27]用自组织映射(SOM)先对原始音频数据提取特征,然后再进行脉冲编码,实现了对高噪声情况下的语音识别。

1.3.2 脉冲神经网络学习与训练

由于神经元之间的可塑性使得生物神经系统具有强大的学习和适应环境的能力,因此在建模中考虑由外界环境变化和神经过程引起的神经突触的变化的调整能力是极为重要的。突触权重(synaptic weights)定义了两个神经元之间连接的强弱。赫布(Hebb)提出了第1个有关于神经突触权重修改的假设。基于此假设的学习算法可被总结为“同时激发的神经元连接在一起”。它被认为是学习跟记忆的根本机制并作为线性相关器被广泛应用于不同的神经网络模型中。虽然脉冲神经网络更为注重神经生理学的学习方法,但目前生物神经系统中完整的学习过程和机制仍然不清楚。

随着精确脉冲定时(precise spike timing)和突触前激发和突触后激发之间的时间间隔被发现,毫秒级精度学习受到了热切关注。在过去的几十年里,科研人员从生物实验现象和结论中汲取灵感来探索神经突触可塑性(即学习)理论。通过引入突触前后脉冲之间的时间相关性(temporal correlation),毕国强和蒲慕明提出了脉冲时间依赖的可塑性(STDP)机制并被推广到不同的脉冲学习机制[28-29]。由于STDP原本是无监督学习机制,为了解决SNN的监督学习问题,人们将STDP机制与其他权值调整方法结合,这其中主要包含梯度下降和Widrow-Hoff规则,以此推导出不同的监督学习算法。相对于在单层SNN领域的大量的研究工作,多层SNN的学习算法研究却非常缺乏,主要原因是STDP机制的前向传播的单一性和神经脉冲的不连续性,给多层SNN的监督学习算法的构造带来很大的挑战。Bohte等人[30]仿照ANN的误差反传(error back-propagation)机制,首先提出了基于梯度下降的多层SNN学习算法SpikeProp。

由于SNN的训练算法不太成熟,一些研究者提出了将传统的ANN转化为SNN的算法,利用较为成熟的ANN训练算法来训练一个基于ANN的深度神经网络,然后通过发放频率编码(firing rate encoding)将其转化为SNN[31],从而避免了直接训练SNN的困难。这些工作目前局限于前馈神经网络。基于这种转换机制,HRL Labs研究者[32]将卷积神经网(CNN)转换为Spiking CNN,在常用的物体识别测试集Neovision2与CIFAR-10上的识别准确率接近CNN;瑞士研究者将深度信念网(DBN)转换为Spiking DBN,在手写数字识别测试集MNIST上的识别准确率接近DBN。目前还未见有人尝试将SNN应用于图像物体识别测试集ImageNet,可能是因为ImageNet需要巨大的深度神经网络,转换后的SNN的软件仿真所需运算能力超出了一般台式机的运算速度。

还有一种SNN架构称为液体状态机(LSM)[33]也可以避免直接训练SNN。LSM与基于ANN的回声状态机(ESM)类似,神经元之间的连接与权重是随机产生的而固定的,神经网络形成一个“水池”,其作用将外界输入映射到一个高维状态空间以便于分类,因此SNN本身不需要训练,而只须训练一个输出层分类算法。只要SNN的规模足够大,理论上讲可以实现任意复杂输入的分类任务。

1.3.3 神经形态认知计算架构

认知计算以由神经元和神经突触组成的计算系统为重点,致力于推动对大脑中信息处理和神经突触通信相关的脑功能障碍的理解研究。通过将神经元和神经突触作为最基本的运算单元推动类脑计算科技的进步,将为神经处理器、信息处理技术和非冯·诺伊曼结构计算机的发展提供理论和实验基础。

加拿大滑铁卢大学Eliasmith团队的SPAUN脑模拟器是多脑区协同计算领域标志性的工作。该团队早期曾提出神经工程框架理论(NEF),通过定义功能函数并用神经网络逼近函数的思路来建立神经信息处理与认知功能实现之间的联系[34]。2012年,该团队基于早期的积累及新提出的语义指针架构统一网络,在其SPAUN项目中将250万个虚拟神经元组织为约10个模块化脑区,并在此基础上构建工作流式的脑区计算环路,发展出模拟笔迹、逻辑填空、简单视觉处理、归纳推理、强化学习等能力,实现了基于多脑区协同的多个特定功能神经网络[35]。然而该项目的问题在于为不同任务的实现人工构建了不同的工作流,脑区模型之间的协同并非自组织的,这与人脑的工作机制具有很大差异,即SPAUN脑区计算环路并不具有真正的自适应性和通用性,而是根据不同任务人工组织的[36]

由Hawkins提出的分层时序记忆(hierarchical temporal memory)模型更为深度借鉴了脑信息处理机制[37-38],主要体现在借鉴了大脑皮层柱6层组织结构及不同层次之间的信息传递机制和皮质柱的信息处理原理等。该模型非常适用于处理带有时序信息的问题,并被广泛地应用于物体识别与跟踪、交通流量预测、人类异常行为检测等。

1.4 仿生感知与类脑应用

传统摄像头是基于周期性的视频帧的,帧频越高,视频质量越好,时间敏感度越高,但视频码流所需带宽也就越大,事实上,每秒超过1 000帧就难以在常规芯片和设备中应用了。受生物视网膜中神经元传输信息方式的启发,加州理工学院的Mahowald和Mead在20世纪90年代初提出了一种称为地址事件表达(AER)的异步信号传输方式[39-40]:当像素阵列中某个像素发生“事件”时,将此像素的位置与“事件”输出。基于这一原理,瑞士苏黎世大学研发了动态视觉传感器(DVS)[41],其基于事件驱动原理来检测图像中像素的亮度变化:当某个像素的亮度变化超过某一阈值时(从亮变暗或从暗变亮),则输出一个脉冲;如果图片是静止不动的,没有像素的变化,那么摄像头就不会有任何输出。脉冲编码采用AER模式,包含发出脉冲的时间戳与像素地址。这种类脑摄像头的时间分辨率可达微秒级,可以实现高速移动物体的跟踪,而其所需码流带宽比传统的高速摄像头低很多。由于DVS的输出是一系列的脉冲,而不是传统的基于像素矩阵的图像帧,传统的信号与图像处理算法并不适用,需要设计新的后端处理算法。很直观的一个思路是采用SNN来实现后端处理算法,当然也可以采用其它算法。DVS研究团队已经与IBM TrueNorth团队展开合作,将TrueNorth芯片用于DVS的后端处理。DVS的低带宽优势使其在机器人视觉领域具有天然优势,已有工作将其用于自主行走车辆与自主飞行器中。新加坡南洋理工大学的陈守顺博士研制出了时间灵敏度达到25 ns的异步传感芯片[42-44]。Orchard等人介绍了一种用于对象识别的脉冲层级模型[45],利用AER视觉传感器的输出中所蕴含的精准时域信息进行对象识别。类脑耳蜗[46]是基于类似原理的类脑听觉传感器,可以用于声音识别与定位。

尽管脉冲神经网络已经被成功应用于模式识别问题,神经系统是如何从感觉编码到突触学习利用脉冲时间进行运算的问题仍值得研究。文献[47]提出了一个基于脉冲时间的模式识别模型,如图 1所示。

图 1 基于脉冲时间的模式识别模型结构
Fig. 1 Pattern recognition model structure based on pulse time

上述模型主要由编码和学习网络两个部分组成。时滞编码(latency code)和相位编码(phase code)结合编码方法(图 2)被用来将图像信息转化成为由神经脉冲序列组成的时空斑图(spatio temporal pattern)。随后,一个单层脉冲神经网络被用来学习识别不同的输入。

图 2 时滞—相位编码的流程图[100]
Fig. 2 Flow chart of time delay and phase coding

尽管许多以前的研究工作被认为是受生物逻辑启发的听觉前端,比如基于Gammatone滤波器组的语音识别,传统的模式识别方法GTCC (Gammatone cepstral coefficients),但是并没有一个完整的生物系统。实验结果表明神经元之间的交互是通过短时脉冲的方式,这代表外部刺激是以时空脉冲序列的模式存在于大脑中。文献[48]从生物的角度出发,提出了一个基于局部频谱特征的声音识别系统(LSF-SNN系统),如图 3所示。

图 3 基于局部频谱特征的声音识别系统
Fig. 3 Sound recognition system based on local spectral features

2 国内研究现状

近几年来,国内在神经形态器件的研究方面也取得了长足的进步。特别在新型固态存储器的研究领域,取得了可喜的科研成果。

北京大学利用过渡金属氧化物忆阻器展示了STDP学习法则,在硬件上首次实现了异源性突触可塑性[49],提出关于金属氧化物阻变效应的统一机制,阐明了金属氧化物忆阻器中阻变效应的微观物理机理;建立了适用于大规模电路仿真、能够精确描述表征器件特性与物理效应的集约模型[50]。清华大学系统研制和开发了多款用于模拟突触的忆阻器器件。文献[51-52]实现了多阻态及低耗电。利用石墨烯独特的双极型输运特性,首次实现了基于2维材料的类突触器件[53]。南京大学研制了基于氧化物双电层晶体管的人造突触/神经元,和具有高质子导电特性的自支撑壳聚糖膜,并在这两种器件上,实现了LTP,STP和STDP等学习法则[54-57]。中科院上海微系统与信息技术研究所在自主新型相变材料开发和相变存储器工程化方面取得了很好的进展,开发出Ti-Sb-Te等人[58-59]自主新型相变材料,在12英寸40 nm的工艺线上,开发出非标准流程的1D1R工艺,存储单元成品率大于99.9%,为嵌入式应用神经网络芯片开发奠定了基础。华中科技大学重点开发了针对相变存储器的神经形态器件,包括突触的模拟和神经元的模拟,实现了4种不同形式的STDP学习法则,和神经元在连续的脉冲刺激下超过阈值而产生积分触发的特性[60],还基于忆阻突触器件提出了多种非易失性布尔逻辑的运算方法[61]。国防科技大学基于Au/Ti/TiO2/Au忆阻器器件,建立了一个基于体材效应和界面效应的忆阻器物理模型[62]

中国科学院计算技术研究所和法国INRIA提出了国际上首个深度神经网络处理器架构,相关研究论文两次获得计算机体系结构顶级会议ASPLOS和MICRO最佳论文[63]。在此基础上,中国科学院计算技术研究所研制了“寒武纪”深度神经网络处理器芯片,包含独立的神经元存储单元和权值存储单元,以及多个神经元计算单元。“寒武纪”芯片每秒能处理160亿个神经元和2.56万亿个突触运算,可达到512 GB/s浮点运算速度,比Intel通用处理器性能和能效提高100倍[64],可广泛适应各种智能处理应用。IBM、Intel、HP、微软、MIT、哈佛大学、斯坦福大学、UCLA、哥伦比亚大学和佐治亚理工等国外知名机构纷纷跟踪引用“寒武纪”,开展深度神经网络硬件探索。目前“寒武纪”研究团队已完成专利布局,成立了创业企业,开展产业化工作,正在与曙光合作研制智能云服务器,与多个核心手机芯片厂商合作研制面向下一代智能手机芯片的智能处理器。未来,“寒武纪”需要在超大规模人工神经网络芯片架构、智能处理指令集、智能编程语言和编译器, 以及自主智能算法等方面取得进一步突破,力争形成具有小样本学习能力的智能软硬件。

清华大学的施路平教授团队于2015年针对未来通用人工智能的发展要求提出类脑混合计算范式架构,并发展了基于CMOS的类脑芯片“天机”,既支持脉冲神经网络,也支持ANN(analog neural network),及各种混合集成网络,受邀在电子器件国际顶级会议IEDM2015上做了特邀报告[65]。单个芯片包含6个核,核间通过2×3的片上网络进行互联,可达到153.6 GB/s定点运算速度。每个核包含神经元块、权值网络、路由器、同步器和参数管理等模块,支持256个简化脉冲神经元的计算,运行频率为100 MHz。此外,清华大学已经设计完成两款基于忆阻器的大型神经网络芯片。其中一款是限制玻尔兹曼机,可以对图像等进行特征提取。另外一款是基于忆阻器的完整双层神经网络,能够实现手写体数字的识别。

浙江大学计算机学院、微电子学院及杭州电子科技大学联合研究团队主要面向低功耗嵌入式应用领域,于2015年研发了一款基于CMOS数字逻辑的脉冲神经网络芯片“达尔文”,支持基于LIF神经元模型的脉冲神经网络建模[66],单核支持2 048个神经元、400万个神经突触(全连接)和15个不同突触延迟。2016 IEEE CIS计算智能相关的Summer School还将达尔文芯片作为一个案例供所有参加人员编程实践与应用开发。

表 2给出了前面提到的国内部分单位的智能芯片在性能、应用领域、所支持的网络类型和编程支持等方面的对比情况。

表 2 国内神经网络芯片对比
Table 2 Comparison of domestic neural network chips

下载CSV
类型 名称 性能/GB 模式 应用领域 网络类型 编程支持及指令集
人工神经网络芯片 寒武纪 512 Flops 图像、视频、语音、文本、自然语言理解、决策 CNN/DNN/RNN/LSTM/RCNN/Fast RCNN等 Caffe/Tensorflow等主流编程框架高性能库调试和性能分析神经网络指令集
预言神 0.128 Flops 语音 双权值浅层人工神经网络
脉冲神经网络芯片 达尔文 0.56 Ops 手写数字识别,脑电波编码 基于SNN,不支持深度学习 自定义编程模型
天机 153.6 Ops 图像 混合计算范式,既支持SNN也支持模拟神经网络(ANN)及各种混合集成网络。 自定义编程模型
视觉处理芯片 半导体所视觉芯片 12 Ops 图像、视频 SOM
星光一号 152 Ops 图像、视频 CNN Caffe/Tensorflow等主流编程框架
注:CNN为卷积神经网络;DNN为深度神经网络;RNN为递归神经网络;LSTM为长短时记忆神经网络;RCNN为基于区域神经网络;SNN为脉冲神经网络;SOM为自组织映射神经网络。

3 发展趋势与展望

类脑计算经过几十年的发展,取得了令人欣喜的成果。然而,受限于对复杂神经系统的认知,我们只是揭开了其神秘面纱的一角。随着实验技术的进步与解剖学、生物学和生理学等相关领域研究的发展,我们必将对大脑的计算模式有更深、更多的了解,实现类脑计算将不是梦想。可以预见,类脑计算将是未来很长一段时间人类科学技术研究的热点和前沿。同时,随着人类对于智能系统、智能机器人的需求不断增加,类脑计算将具有非常广阔的应用前景[68]

3.1 神经形态器件

基于硅基的神经形态器件,如SRAM和DRAM,他们的优点是工艺成熟,动态功耗相对较低,缺点是需要持续供电以维持存储器的状态,静态功耗较高,一旦断电,信息全部丢失,需要重新写入,而且,他们在实现仿生学习法则方面,比较困难。因此,在该领域的研究方向主要是固态存储器,包括忆阻器(memristor),电桥式阻抗存储器(CBRAM),相变存储器(PCRAM),磁存储器(MRAM)等。

基于氧化物的忆阻器具有稳定性好、模拟性能好、可擦写次数高、数据保存时间长和兼容当下半导体工艺等优点,也是当下重点研究对象之一。在减少耗能,仿生学习等方面,取得巨大的进展。但其非线性不够理想,当大规模集成时,串扰将是一个需要解决的问题。另外,器件的稳定性和可控性仍有待提高,需要重视由器件自身及器件间的异变带来的对神经网络的负面影响。

电桥式阻抗存储器的耗能较低,具有较高的非线性,在模拟学习法则方面,具有独特的优势。但其异变较大,可重复性较差、不易控制,而且所用材料与半导体后端兼容性较差,在材料的选用和集成方面,需要更多的研究。

自旋转移矩磁存储器具有集成密度较高,耗电较低,可擦写次数极高等优点。但其工艺制造相对复杂,成本较高,而且开关比有限,在电路设计及应用方面,需要投入更多的研究来补偿限制。

相变存储器是所有固态存储器中研究最为成熟的、离商业化最近的器件。研究表明,相变存储器工作电流与器件底面积成正比,当底面电极直径减小到20 nm时,Set和Reset的功耗都将随之降低。另外,据报道,用碳纳米管做电极的相变存储器,可达到更低的功耗[68]。由于相变存储器的单向特性,可以用二极管做选择器件,很容易的实现3维交叉式堆栈结构,再加上优异的读写次数1012和超低的功耗[69],是一种非常有潜力的、可以大规模应用的突触形态器件候选者,但其单向性在实现学习算法等方面,增加了一定的难度。

另外,在系统设计与集成方面,该领域仍处于前期研发阶段。其中最为重要的是整个系统架构还没有公认的技术方案,另外如何实现高密度和低功耗的大规模集成,并实现对仿生法则的凝练、选择、模拟,将是一项重大的挑战。

在所有问题之上,有一个最重要的问题,那就是模拟生物的形态器件,到底需要精确模拟到哪个层级?哪些仿生学习法则是有效的和必要的?在这个领域,我们亟需一个像牛顿定律或冯·诺依曼架构那样的、具有指导性的法则。

3.2 神经网络芯片

随着谷歌的AlphaGo程序在围棋比赛中战胜人类世界冠军李世石,英国《金融时报》认为,智能时代已经到来。而以智能手机、智能驾驶、智能制造、机器人和智能家居为代表的智能产品将会需要新的智能芯片,而目前的智能芯片主要是指各种神经网络芯片。从技术发展看,人工神经网络芯片预期将在近期取得较广泛的实际应用进展;脉冲神经网络芯片尚处于探索性应用阶段;视觉处理芯片则专门用于完成图像和视频处理任务。

目前中国已经在神经网络芯片、智能操作系统、智能算法方面具备了相应的研究基础,形成了研究链。神经网络芯片属于高端集成电路芯片制造。一方面,中国智能终端生产企业和互联网应用服务企业有着大量的神经网络芯片采购需求,如百度、科大讯飞、腾讯、阿里等大数据云计算龙头企业需要神经网络芯片提高服务质量降低运营成本,京东、华为等终端软硬件企业需要神经网络芯片提供服务内容升级。但是我国集成电路产业整体仍然落后于国际发达国家,整个产业从生产制造到市场受到跨国垄断企业明显压制。受行业特点和国内芯片制造技术发展水平的制约,高端芯片研发制造成本对于创新企业仍然高昂。

整个智能产业链由应用层、技术层和芯片层3个层次组成,神经网络芯片属于的最底层。从上到下随着开发门槛的变化,能参与其中的公司越来越少。在应用层,会有海量的公司,主要是将智能应用在各个具体的行业中,例如智能+机器人,智能+客服,智能+制造,智能+商业分析,智能+手机等等。在应用这个层面,产值高,但比较分散散,单个公司辐射面小。技术层供应用所需的核心共性智能算法、模型和方法。例如,不管机器人还是客服都需要语音的智能识别,那就需要语音、图像和视频的智能分析与处理以及自然语言理解等。具备这个能力的公司就非常少了。最下面的是硬件基础层。无论应用和技术怎么变,最终都是要跑在芯片上的。而芯片开发门槛高,公司更少。像信息时代,也就是Intel,ARM寥寥几家。最终主导神经网络芯片的公司也非常地少。因此掌握了神经网络芯片,就能从产业链的最源头全方位辐射整个智能产业。对于从事神经网络芯片开发的公司,应该予以支持。

综上所述,神经网络芯片设计制造属于高端制造业,要发展神经网络芯片技术,需要重点支持神经网络芯片开发公司,发展高端智能产品,制定产品标准、提供政策法规支持,支持智能芯片产业联盟。

3.3 类脑计算模型与应用

基于亚阈值的模拟混合信号芯片可以做到超低功耗,但基于模拟混合信号的应用开发十分困难,在可编程性方面输给了数字电路技术。所以可在硬件方面进行器件的优化和改进,降低芯片功耗。类脑计算的主要优势是功耗低。如何更好的发挥类脑计算的优势将会成为研究的一个重要方向。其中,软硬件的有效结合将会推动类脑计算快速发展。为了在硬件层次提高芯片的性能,需要首先在软件层次进行仿真。所以需要开发一定的软件平台进行算法或模型的仿真和模拟。如果能够在软件仿真方面发现算法或模型取得突破性进展,加上硬件器的加速,就可以促进芯片性能的提升。

脉冲神经网络训练算法的理论发展还不够成熟,在计算神经科学和脉冲神经网络的研究中,人们往往孤立地研究神经信息编码或学习,很少有研究将编码和学习统一为一个完整的计算过程并综合考虑编码与学习之间的适应性问题。因此,尽管研究者针对脉冲神经网络提出了各种学习算法,其主要用于如何识别各种时空数据,而这些算法是否能有效识别实际的输入信息(如图像或语音)却依然是个未知数。因此,研究具有高效信息处理能力的基于完全时间编码的多层SNN(比如优化脉冲编码方法,寻找高效的学习算法),将为SNN在类脑计算领域中的应用提供有效的计算模型和理论基础。

目前已有传感器可以采集到脉冲数据,这为类脑计算机的输入提供了有力支撑。随着大规模视频监控、无人驾驶等应用需求的出现,对更灵敏、更智能和复杂度更低的机器感知算法是必然发展方向,脉冲阵列式感知信号表达和基于脉冲神经网络的检测跟踪识别算法必将成为未来的主流。

类脑计算技术的发展将推动图像识别、语音识别、自然语言处理等前沿技术的突破,机器人视听感知和自主学习是很有希望的应用方向,无人驾驶等领域应用需求也很迫切,类脑计算应用有望推动新一轮产业革命。

目前类脑计算离工业界实际应用还有较大差距,这也为研究者提供了重要研究方向与机遇。我们认为基于脉冲神经网络的类脑芯片在未来510年将会是一个重要的研究题目,其产业化前景是否能够被工业界广泛接受,则取决于研究者在此期间是否能够在某些方面取得突破进展,其中视听感知是最有希望率先突破的方向。

志谢: 论文的撰写得到了北京大学、清华大学、中国科学院计算技术研究所、浙江大学、四川大学和华中科技大学相关研究团队研究人员的大力支持,特此感谢。

参考文献

  • [1] Ni K. The origin of artificial intelligence[J]. Communications of the CCF , 2016, 12 (3) : 38–44. [ 尼克. 人工智能的缘起[J]. 中国计算机学会通讯 , 2016, 12 (3) : 38–44. ]
  • [2] Nilsson N J. The Quest for Artificial Intelligence: A History of Ideas andAchievements[M]. Cambridge: Cambridge University Press, 2010 .
  • [3] Huang T J. Can human beings create "Super Brain"[N]. China Reading Weekly, 2015-01-07(05) [黄铁军.人类能制造出"超级大脑"吗[N].中华读书报, 2015-01-07(05).]
  • [4] Von Neumann J. The Computer and the Brain[M]. Yale: Yale University Press, 1958 .
  • [5] Huang T J. Brain-like computing[J/OL]. Computing Now, 2016, 9(5), IEEE Computer Society. https://www.computer.org/web/computingnow/archive/brain-like-computing-may-2016.
  • [6] Gu Z H, Pan G. Brain computing based on neural mimicry[J]. Communications of the CCF , 2015, 11 (10) : 10–20. [ 顾宗华, 潘纲. 神经拟态的类脑计算研究[J]. 中国计算机学会通讯 , 2015, 11 (10) : 10–20. ]
  • [7] Mead C A. Analog VLSI and Neural Systems[M]. Reading, MA: Addison-Wesley, 1989.
  • [8] Strukov D B, Snider G S, Stewart D R, et al. The missing memristor found[J]. Nature , 2008, 453 (7191) : 80–83. DOI:10.1038/nature08166
  • [9] Yang JJ, Pickett M D, Li X M, et al. Memristive switching mechanism for metal/oxide/metal nanodevices[J]. Nature Nanotechnology , 2008, 3 (7) : 429–433. DOI:10.1038/nnano.2008.160
  • [10] Snider G S.Spike-timing-dependent learning in memristive nanodevices[C]//2008 IEEE International Symposium on Nanoscale Architectures. Washington DC, USA: IEEE, 2008: 85-92.[DOI: 10.1109/NANOARCH.2008.4585796] http://dl.acm.org/citation.cfm?id=1548877
  • [11] Jo S H, Chang T, Ebong I, et al. Nanoscale memristor device as synapse in neuromorphic systems[J]. Nano Letters , 2010, 10 (4) : 1297–1301. DOI:10.1021/nl904092h
  • [12] Kim S, Choi S, Lu W. Comprehensive physical model of dynamic resistive switching in an oxide memristor[J]. ACS Nano , 2014, 8 (3) : 2369–2376. DOI:10.1021/nn405827t
  • [13] Yu S M, Wu Y, Jeyasingh R, et al. An electronic synapse device based on metal oxide resistive switching memory for neuromorphic computation[J]. IEEE Transactions on Electron Devices , 2011, 58 (8) : 2729–2737. DOI:10.1109/TED.2011.2147791
  • [14] Berdan R, Vasilaki E, Khiat A, et al. Emulating short-term synaptic dynamics with memristive devices[J]. Scientific Reports , 2016, 6 : #18639. DOI:10.1038/srep18639
  • [15] Kuzum D, Jeyasingh R G D, Lee B, et al. Nanoelectronic programmable synapses based on phase change materials for brain-inspired computing[J]. Nano Letters , 2012, 12 (5) : 2179–2186. DOI:10.1021/nl201040y
  • [16] Kuzum D, Yu S M, Wong H S P. Synaptic electronics: materials, devices and applications[J]. Nanotechnology , 2013, 24 (38) : #382001. DOI:10.1088/0957-4484/24/38/382001
  • [17] Pickett M D, Medeiros-Ribeiro G, Williams R S. A scalable neuristor built with Mott memristors[J]. Nature Materials , 2013, 12 (2) : 114–117. DOI:10.1038/nmat3510
  • [18] Vincent A F, Larroque J, Locatelli N, et al. Spin-transfer torque magnetic memory as a stochastic memristive synapse for neuromorphic systems[J]. IEEE Transactions on Biomedical Circuits and Systems , 2015, 9 (2) : 166–174. DOI:10.1109/TBCAS.2015.2414423
  • [19] Merolla P A, Arthur J V, Alvarez-Icaza R, et al. A million spiking-neuron integrated circuit with a scalable communication network and interface[J]. Science , 2014, 345 (6197) : 668–673. DOI:10.1126/science.1254642
  • [20] Prezioso M, Merrikh-Bayat F, Hoskins B D, et al. Training and operation of an integrated neuromorphic network based on metal-oxide memristors[J]. Nature , 2015, 521 (7550) : 61–64. DOI:10.1038/nature14441
  • [21] Panzeri S, Brunel N, Logothetis N K, et al. Sensory neural codes using multiplexed temporal scales[J]. Trends in Neurosciences , 2010, 33 (3) : 111–120. DOI:10.1016/j.tins.2009.12.001
  • [22] Butts D A, Weng C, Jin J Z, et al. Temporal precision in the neural code and the timescales of natural vision[J]. Nature , 2007, 449 (7158) : 92–95. DOI:10.1038/nature06105
  • [23] Hopfield J J. Pattern recognition computation using action potential timing for stimulus representation[J]. Nature , 2002, 376 (6535) : 33–36. DOI:10.1038/376033a0
  • [24] Samonds J M, Zhou Z, Bernard MR, et al. Synchronous activity in cat visual cortex encodes collinear and cocircular contours[J]. Journal of Neurophysiology , 2006, 95 (4) : 2602–2616. DOI:10.1152/jn.01070.2005
  • [25] Leutgeb S, Leutgeb J K, Moser M B, et al. Place cells, spatial maps and the population code for memory[J]. Current Opinion in Neurobiology , 2005, 15 (6) : 738–746. DOI:10.1016/j.conb.2005.10.002
  • [26] Yu Q, Tang H J, Tan K C, et al. Rapid feedforward computation by temporal encoding and learning with spiking neurons[J]. IEEE Transactions on Neural Networks and Learning Systems , 2013, 24 (10) : 1539–1552. DOI:10.1109/TNNLS.2013.2245677
  • [27] Dennis J, Yu Q, Tang H J, et al. Temporal coding of local spectrogram features for robust sound recognition[C]//Proceedings of 2013 IEEE International Conference on Acoustics, Speech and Signal Processing. Vancouver BC, Canada: IEEE, 2013: 803-807.[DOI: 10.1109/ICASSP.2013.6637759]
  • [28] Bi G Q, Poo M M. Distributed synaptic modification in neural networks induced by patterned stimulation[J]. Nature , 1999, 401 (6755) : 792–796. DOI:10.1038/44573
  • [29] Gjorgjieva J, Clopath C, Audet J, et al. A triplet spike-timing-dependent plasticity model generalizes the Bienenstock-Cooper-Munro rule to higher-order spatiotemporal correlations[J]. Proceedings of the National Academy of Sciences of the United States of America , 2011, 108 (48) : 19383–19388. DOI:10.1073/pnas.1105933108
  • [30] Bohte S M, Kok J N, Poutr'e H L. SpikeProp: backpropagation for networks of spiking neurons[C]//The of 8th European Symposium on Artificial Neural Networks, Bruges, Belgium, 2000. http://www.gbv.de/dms/tib-ub-hannover/313187274.pdf
  • [31] Diehl P U, Neil D, Binas J, et al. Fast-classifying, high-accuracy spiking deep networks through weight and threshold balancing[C]//Proceedings of 2015 International Joint Conference on Neural Networks. Killarney, Ireland: IEEE, 2015.[DOI: 10.1109/IJCNN.2015.7280696]
  • [32] Cao Y Q, Chen Y, Khosla D. Spiking deep convolutional neural networks for energy-efficient object recognition[J]. International Journal of Computer Vision , 2015, 113 (1) : 54–66. DOI:10.1007/s11263-014-0788-3
  • [33] Maass W, Natschläger T, Markram H. Real-time computing without stable states: a new framework for neural computation based on perturbations[J]. Neural Computation , 2002, 14 (11) : 2531–2560. DOI:10.1162/089976602760407955
  • [34] Eliasmith C, Anderson C H. Neural Engineering: Computation, Representation, and Dynamics in Neurobiological Systems[M]. Cambridge: The MIT Press, 2003 .
  • [35] Eliasmith C, Stewart T C, Choo X, et al. A large-scale model of the functioning brain[J]. Science , 2012, 338 (6111) : 1202–1205. DOI:10.1126/science.1225266
  • [36] Zeng Y, Liu C L, Tan T N. Retrospect and outlook of brain-inspired intelligence research[J]. Chinese Journal of Computers , 2016, 39 (1) : 212–222. [ 曾毅, 刘成林, 谭铁牛. 类脑智能研究的回顾与展望[J]. 计算机学报 , 2016, 39 (1) : 212–222. DOI:10.11897/SP.J.1016.2016.00212 ]
  • [37] Hawkins J. On Intelligence[M]. New York: Times Books, 2004 .
  • [38] Hawkins J, Ahmad S, Dubinsky D. Hierarchical temporal memory including HTM cortical learning algorithms[R]. Technical Report. Palto Alto: Numenta, Inc., 2011.
  • [39] Mahar M A C, DeWeerth S P, Mahowald M A, et al. Implementing neural architectures using analog VLSI circuits[J]. IEEE Transactions on Circuits Systems , 1989, 36 (5) : 643–652. DOI:10.1109/31.31311
  • [40] Mahoward M A, Mead C A. The silicon retina[J]. Scientific American , 1991, 264 (5) : 76–82. DOI:10.1038/scientificamerican0591-76
  • [41] Liu S C, Delbruck T. Neuromorphic sensory systems[J]. Current Opinion in Neurobiology , 2010, 20 (3) : 288–295. DOI:10.1016/j.conb.2010.03.007
  • [42] Qian X Y, Yu H, Chen SS, et al. A high dynamic range CMOS image sensor with dual-exposure charge subtraction scheme[J]. IEEE Sensors Journal , 2015, 15 (2) : 661–662. DOI:10.1109/JSEN.2014.2365173
  • [43] Zhao B, Ding R X, Chen S S, et al. Feedforward categorization on AER motion events using cortex-like features in a spiking neural network[J]. IEEE Transactions on Neural Networks and Learning Systems , 2015, 26 (9) : 1963–1978. DOI:10.1109/TNNLS.2014.2362542
  • [44] Liu Y F, Yu X Y, Chen S S, et al. Object localization and size measurement using networked address event representation imagers[J]. IEEE Sensors Journal , 2016, 16 (9) : 2894–2895. DOI:10.1109/JSEN.2016.2533634
  • [45] Orchard G, Meyer C, Etienne-Cummings R, et al. HFirst: a temporal approach to object recognition[J]. IEEE Transactions on Pattern Analysis and Machine Intelligence , 2015, 37 (10) : 2028–2040. DOI:10.1109/TPAMI.2015.2392947
  • [46] Li C H, Delbruck T, Liu S C. Real-time speaker identification using the AEREAR2 event-based silicon cochlea[C]//2012 IEEE International Symposium on Circuits and Systems. Seoul, Korea:IEEE, 2012.[DOI: 10.1109/ISCAS.2012.6271438]
  • [47] Hu J, Tang H J, Tan K C, et al. A spike-timing based integrated model for pattern recognition[J]. Neural Computation , 2013, 25 (2) : 450–472. DOI:10.1162/NECO_a_00395
  • [48] Yang Y C, Chen B, Lu W D. Memristive physically evolving networks enabling the emulation of heterosynaptic plasticity[J]. Advanced Materials , 2015, 27 (47) : 7720–7727. DOI:10.1002/adma.201503202
  • [49] Kang J F, Gao B, Huang P, et al. Oxide-based RRAM: requirements and challenges of modeling and simulation[C]//Proceedings of 2015 IEEE International Electron Devices Meeting. Washington, DC, USA: IEEE, 2015: 5.4.1-5.4.4.[DOI: 10.1109/IEDM.2015.7409634]
  • [50] Zhang Y, Wu H Q, Bai Y, et al. Study of conduction and switching mechanisms in Al/AlOx/WOx/W resistive switching memory for multilevel applications[J]. Applied Physics Letters , 2013, 102 (23) : #233502. DOI:10.1063/1.4810000
  • [51] Bai Y, Wu H Q, Zhang Y, et al. Low power W: AlOx/WOx bilayer resistive switching structure based on conductive filament formation and rupture mechanism[J]. Applied Physics Letters , 2013, 102 (17) : #173503. DOI:10.1063/1.4803462
  • [52] Tian H, Mi W T, Wang X F, et al. Graphene dynamic synapse with modulatable plasticity[J]. Nano Letters , 2015, 15 (12) : 8013–8019. DOI:10.1021/acs.nanolett.5b03283
  • [53] Zhu L Q, Wan C J, Guo L Q, et al. Artificial synapse network on inorganic proton conductor for neuromorphic systems[J]. Nature Communications , 2014, 5 : #3158. DOI:10.1038/ncomms4158
  • [54] Wan C J, Zhu L Q, Liu Y H, et al. Proton-conducting graphene oxide-coupled neuron transistors for brain-inspired cognitive systems[J]. Advanced Materials , 2016, 28 (18) : 3557–3563. DOI:10.1002/adma.201505898
  • [55] Liu N, Zhu L Q, Feng P, et al. Flexible sensory platform based on oxide-based neuromorphictransistors[J]. Scientific Reports , 2015, 5 : #18082. DOI:10.1038/srep18082
  • [56] Zhou J M, Liu N, Zhu L Q, et al. Energy-efficient artificial synapses based on flexible IGZO electric-double-layer transistors[J]. IEEE Electron Device Letters , 2015, 36 (2) : 198–200. DOI:10.1109/LED.2014.2381631
  • [57] Xia M J, Zhu M, Wang Y C, et al. Ti-Sb-Te alloy: a candidate for fast and long-life phase-change memory[J]. ACS Applied Materials & Interfaces , 2015, 7 (14) : 7627–7634. DOI:10.1021/acsami.5b00083
  • [58] Xia M J, Ding K Y, Rao F, et al. Aluminum-centered tetrahedron-octahedron transition in advancing Al-Sb-Te phase change properties[J]. Scientific Reports , 2015, 5 : #8548. DOI:10.1038/srep08548
  • [59] Zhong Y P, Li Y, Xu L, et al. Simple square pulses for implementing spike-timing-dependent plasticity in phase-change memory[J]. Physica Status Solidi , 2015, 9 (7) : 414–419. DOI:10.1002/pssr.201510150
  • [60] Zhou Y X, Li Y, Xu L, et al. A hybrid memristor-CMOS XOR gate for nonvolatile logic computation[J]. Physica Status Solidi (A) , 2016, 213 (4) : 1050–1054. DOI:10.1002/pssa.201532872
  • [61] Tang Z S, Fang L, Xu N, et al. Forming compliance dominated memristive switching through interfacial reaction in Ti/TiO2/Au structure[J]. Journal of Applied Physics , 2015, 118 (18) : #185309. DOI:10.1063/1.4935622
  • [62] Chen T S, Du Z D, Sun N G, etal.DianNao: a small-footprint high-throughput accelerator for ubiquitous machine-learning[C]//Proceedings of the 19th International Conference on Architectural Support for Programming Languages and Operating Systems. New York, USA: ACM, 2014: 269-284.[DOI:10.1145/2541940.2541967]
  • [63] Chen Y J, Luo T, Liu S L, etal.DaDianNao: a machine-learning supercomputer[C]//47th IEEE/ACM International Symposium on Microarchitecture. Cambridge, United Kingdom: IEEE, 2014.[DOI: 10.1109/MICRO.2014.58]
  • [64] Shi L, Pei J, Deng N, et al.Development of a neuromorphic computing system[C]//Proceedings of 2015 IEEE International Electron Devices Meeting.Washington DC: IEEE, 2015: 72-75.
  • [65] Shen J C, Ma D, Gu Z H, et al. Darwin: a neuromorphic hardware co-processor based on Spiking Neural Networks[J]. Science China Information Sciences , 2016, 59 (2) : 1–5. DOI:10.1007/s11432-015-5511-7
  • [66] Xiong F, Liao A D, Estrada D, et al. Low-power switching of phase-change materials with carbon nanotube electrodes[J]. Science , 2011, 332 (6029) : 568–570. DOI:10.1126/science.1201938
  • [67] Tiejun Huang. The present and future of the brain-like computer[N]. Guangming Daily, 2015-12-06(08). [黄铁军.类脑计算机的现在与未来[N].光明日报, 2015-12-06(08).]
  • [68] Burr G W, Breitwisch M J, Franceschini M, et al. Phase change memory technology[J]. Journal of Vacuum Science & Technology B , 2010, 28 (2) : 223–262. DOI:10.1116/1.3301579
  • [69] Huajin Tang, Jun Hu. Neural mimicry cognitive computing[J]. Communications of the CCF , 2015, 11 (10) : 27–32. [ 唐华锦, 胡隽. 神经拟态认知计算[J]. 中国计算机学会通讯 , 2015, 11 (10) : 27–32. ]